ANFF Victoria
Home > Locations
Overview

ANFF-Vic ties the largest open-access cleanroom in the southern hemisphere, the Melbourne Centre for Nanofabrication (MCN), with five university-based hubs and CSIRO spread across Victoria providing a full complement of nanofabrication capabilities and expertise.

Members of the ANFF-Vic Joint Venture include: Monash University; University of Melbourne (Materials Characterisation and Fabrication Platform); Deakin University (Institute for Frontier Materials); La Trobe University (Centre for Materials Surface Science); Swinburne University of Technology (ANFF Biointerface Engineering Hub); RMIT University (Micro Nano Research Facility); Victoria University; and CSIRO (CSIRO Manufacturing).

MCN

The Melbourne Centre for Nanofabrication is home to ANFF’s headquarters. The Centre is a world-class, purpose-built facility boasting state-of-the-art cleanrooms (class 10,000 and class 100), reconfigurable biochemistry and PC2 labs, a microscopy lab and focused ion beam lab. These specialised work environments house top-of-the-line micro and nanofabrication equipment and instrumentation.

Node Competencies

Current expertise is provided in the areas of advanced materials and biotechnology, leading to the development of a wide range of customised sensors, actuators, and devices applied to areas from biomedicine, and energy to nanoelectronics and the environment.

Contact

Node Director: Prof Nico Voelcker
Email: nicolas.voelcker@monash.edu
Phone: +61 3 9902 9097

General Manager: Dr Sean Langelier
Email: sean.langelier@nanomelbourne.com
Phone: +61 3 9902 4100

Location
Melbourne Centre for Nanofabrication
Address

Melbourne Centre for Nanofabrication
151 Wellington Road
Clayton VIC 3168

Website

Deakin University
Address

Institute for Frontier Materials
Deakin University, Waurn Ponds Campus
Waurn Ponds VIC 3216

Website

University of Melbourne
Address

Materials Characterisation and Fabrication Platform
The University of Melbourne
Parkville Victoria 3010

Website

La Trobe University
Address

Centre for Materials and Surface Science (CMSS)
Department of Chemistry and Physics
La Trobe University
Bundoora VIC 3086

Website

Swinburne University of Technology
Address

ANFF-Vic Biointerface Engineering Hub
Swinburne University of Technology
John Street
Hawthorn Victoria 3122

Website

RMIT
Address

MicroNano Research Facility
RMIT University
Bowen Street
Melbourne 3001 Victoria

Website

CSIRO
Address

CSIRO Clayton

Research Way, Clayton VIC 3168

Website

Equipment
Deposition
AJA Combinatorial Sputterer
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Sputtering
Description
The ATC-2200-HY has six sputter targets, one off-axis sputter target, e-beam source, in-situ ellipsometery and RHEED scanning capability. It is also fitted with a X-Y stage and masking system to deposit up to 25 index points in one deposition run.
Related Information
Combinatorial discovery of materials using vacuum based sputtering of different metals, metal oxide, metal sulphide, metal selenides, metal nitride, metal carbide thin films and mixtures thereof with thicknesses of ~5 – 1000’s of nanometres with a precision of 1.5% over a 6” diameter. Its applicability to deposit a wide variety of thin coatings makes it highly desirable for new material discovery and optimisation of absorber, transport and electrode materials for use in advanced solar cells.
Tool Contact
mcn-enquiries@nanomelbourne.com
Au Sputter Coater (EM Sample Prep)
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Sputtering
Description
Sputter coating instrument for SEM sample preparation
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Desk magnetron sputter coater (DSR1)
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Sputtering
Description
Sputter coating instrument for SEM sample preparation
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
EM Sample Prep Sputter Coater
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Sputtering
Description
Sputter coating instrument for SEM sample preparation
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Intlvac Nanochrome – Sputterer
AC/DC Sputtering system with co-deposition
Melbourne Centre for Nanofabrication VIC Node
Technique
Sputtering
Description
An automated tool which can be used to deposit a wide variety of conductive thin films including metals, oxides, nitrides and alloys. The system allows for up to three materials to be co-deposited at once, with the option to vary the composition over time.
Related Information
Can coat with three materials in the same run.Features ion beam cleaning.Recipe-based automated operation.Can be used to coat samples of up to 8 inches in diameter.
Tool Contact
mcn-enquiries@nanomelbourne.com
Magnetron Sputtering
RF/DC sputtering system
Melbourne Centre for Nanofabrication VIC Node
Technique
Sputtering
Description
Manual tool which can be used to deposit a wide variety of conductive and non-conductive thin films including metals, oxides, nitrides and alloys. The system allows for up to two materials to be co-deposited at once, with the option to vary the composition over time.
Related Information
Can coat with two materials in the same run.Features ion beam cleaning.Manual operation.Can be used to coat samples of up to 6 inches in diameter.
Tool Contact
mcn-enquiries@nanomelbourne.com
RF sputter deposition system- Kurt J. Lesker PVD75
RF sputter
RMIT VIC Node
Technique
Sputtering
Description
RF sputters
Related Information
DC/RF Magnetron sputtering system capable of sputter deposition of a wide range of materials. The instrument can be used for high temperature sputter deposition.
Tool Contact
arnan.mitchell@rmit.edu.au
Sputter Cr Quorum
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Sputtering
Description
Chromium sputtering instrument devoted to EBL sample preparation
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Oxford Instruments PlasmaPro ICP – PECVD
Plasma enhanced chemical vapour deposition system (PECVD) for depositing SiOx and SiN
Melbourne Centre for Nanofabrication VIC Node
Technique
Plasma Enhanced Chemical Vapour Deposition (PECVD)
Description
Offers fast, affordable deposition at relatively low temperatures.
Related Information
Deposits SiO2, Si3N4, and amorphous Silicon at 100-400 degrees C.
Tool Contact
mcn-enquiries@nanomelbourne.com
PECVD Oxford PlasmaLab
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Plasma Enhanced Chemical Vapour Deposition (PECVD)
Description
Used to coat planar samples with Silicon Oxide or Silicon Nitride thin film
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Plasma Polymerisation Reactors
Deposition of a variety of organic films, including dedicated reactors for air plasma, allylamine (-NH2), acrylic acid (-COOH) and octadiene (-CH2). Samples up to 20 cm in diameter
Swinburne University of Technology VIC Node
Technique
Plasma Enhanced Chemical Vapour Deposition (PECVD)
Description
Custom built reactors to deposit a wide variety of organic thin films via plasma enhanced chemical vapour deposition (PE-CVD)
Related Information
More information to come.
Tool Contact
kljarvis@swin.edu.au
Microwave Diamond Deposition – BDD
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Microwave Plasma-enhanced Chemical Vapour Deposition (MPCVD)
Description
Used to coat seeded samples of any shape with boron-doped diamond.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Microwave Diamond Deposition – NV
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Microwave Plasma-enhanced Chemical Vapour Deposition (MPCVD)
Description
Used to coat seeded samples of any shape with boron-doped diamond.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Ni Electroplating System
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Electroplating
Description
Digital Matrix SA1000 instrument provides high quality nikel coatings (electroplating) and shim fabrication (electroforming) for embossing. Maximum sample size upto 200mm in diameter
Related Information
Electroplating is a common process in the jewellery, automotive, and food industries but it is also highly useful in the production of semi-conducting electronics and optics. Different metals can also be used to grow shims for use in hot embossing and nano-imprint lithography, while they can also help to protect surfaces from aggressive etching processes. Nickel electroplating can also be used to cast PDMS in the creation of fluidic cells.
Tool Contact
mcn-enquiries@nanomelbourne.com
Nickel Electroforming/Plating
Nickel electroplating system
Melbourne Centre for Nanofabrication VIC Node
Technique
Electroplating
Description
Can fabricate Ni masters from patterned (photolithography, EBL) substrates to be used in hot embossing and nano-imprint lithography for mass production
Related Information
Up to 8 inch diameter sample size; mould thickness up to 1 micron, minimum feature size depends on aspect ratio
Tool Contact
mcn-enquiries@nanomelbourne.com
E-beam Evaporator (Dual Source)
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Electron Beam Evaporation (E-Beam Evaporation)
Description
Single films or multi-material stacks are easily created using simple layer definitions. Features ion-beam pre-cleaning and sample heating capabilities.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
E-beam Evaporator
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Electron Beam Evaporation (E-Beam Evaporation)
Description
Able to deposit single or multi-stack film. Features ion-beam pre-cleaning and sample stage cooling capabilities. This system is reserved for precious metals and adhesion metals deposition only. The following materials are allowed in the chamber. (Au, Ag, Pt, Pd, Ti, Cr)
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Electron beam evaporation system- Kurt J. Lesker PVD75
E-Beam evaporator
RMIT VIC Node
Technique
Electron Beam Evaporation (E-Beam Evaporation)
Description
KJLC PVD 75 Thin Film Deposition Systems
Related Information
Materials: Au, Ti, Ni, Pt, Al, and certain other materials upon request.
Tool Contact
arnan.mitchell@rmit.edu.au
Intlvac Nanochrome II – E-beam Evaporator
Electron beam evaporator
Melbourne Centre for Nanofabrication VIC Node
Technique
Electron Beam Evaporation (E-Beam Evaporation)
Description
Single films or multi-material stacks are easily created using simple layer definitions. Features ion-beam pre-cleaning and sample heating capabilities.
Related Information
Features a 10kV power supply and supports up to sixteen 4 inch wafers or fifteen 6 inch wafers or wafer mounted samples.Coating thickness are from 2nm up to 200nm (thickness >200nm by approval). Currently available in the materials library are metals (Al, Cr, Ti, Au, Ag), oxides (SiO2, TiO2, Al2O3, ITO), fluorides (MgF2) and semiconductors (Si, Ge).
Tool Contact
mcn-enquiries@nanomelbourne.com
Aerosol spray coater- Sono-Tek
More information to come.
CSIRO VIC Node
Technique
Coatings
Description
The ExactaCoat is a fully-enclosed programmable 3-axis robot that is ideal for any spray coating application. This system employs robust ball-screw slides driven by brushless DC servo motors. Sono-Tek ultrasonic nozzles are easily integrated. Spray pattern widths can be easily shaped depending on which nozzle is used. Patterns are easily shaped, ranging from 2 - 153 mm. Several liquid delivery options are available with a wide range of flow rates.
Related Information
Range of motion 400 mm x 400 mm x 100 mm , resolution 0.015 mm. Heater plate up to 150 degrees C.
Tool Contact
mark.bown@csiro.au
Dip Coater- KSV-NIMA Large Multivessel
Programmable for up to 8 different solution vessels
Swinburne University of Technology VIC Node
Technique
Coatings
Description
Deposits a coating via dipping and withdrawing the sample into solutions at a specified rate
Related Information
More information to come.
Tool Contact
kljarvis@swin.edu.au
Langmuir Blodgett Deposition Trough
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Coatings
Description
Langmuir Blodgett monolayer deposition trough for coating applications
Related Information
A Langmuir–Blodgett trough (LB trough) is an item of laboratory apparatus that is used to compress monolayers of molecules on the surface of a given subphase (usually water) and to measure surface phenomena due to this compression. It can also be used to deposit single or multiple monolayers on a solid substrate.
Tool Contact
mcn-enquiries@nanomelbourne.com
Parylene Coater- SCS
More information to come.
CSIRO VIC Node
Technique
Coatings
Description
The SCS Labcoter® 2 (PDS 2010) vacuum deposition system uses powered parylene precursor (dimer) to apply ultra-thin inert and biocompatible coatings with excellent moisture, chemical and dielectric barrier properties. The controlled deposition can form films in thicknesses from several hundred angstroms to 75 microns.
Related Information
Samples can be up to 30cm by 20cm.
Tool Contact
mark.bown@csiro.au
ALD Cambridge NanoTech Fiji 200
Plasma assisted atomic layer deposition (PA-ALD) System
Melbourne Centre for Nanofabrication VIC Node
Technique
Atomic Layer Deposition (ALD)
Description
The Fiji F200 is capable of both thermal and Plasma Assisted ALD (PA-ALD). PA-ALD expands the window for materials by decreasing activation energy and allows for deposition at lower temperatures to reduce precursor decomposition, deposition times and film contaminations. This tool is equipped to enable Cambridge Nanotech’s unique Exposure Mode™ for thin film deposition on ultra high aspect ratio substrates. In-situ film growth can be monitored using a spectroscopic ellipsometry.
Related Information
Substrate size - Up to 6 inch diameter. Maximum substrate heating - 500°C. Available ALD films- Al2O3, TiO2, SiO2, SnO2, ZnO, Ta2O5, MoO3, HfO2, TiN, AZO.
Tool Contact
mcn-enquiries@nanomelbourne.com
ALD Cambridge NanoTech Savannah S100
Atomic layer deposition (ALD) system in a glovebox
Melbourne Centre for Nanofabrication VIC Node
Technique
Atomic Layer Deposition (ALD)
Description
This glovebox-integrated thermal ALD system allows the user to deposit materials in controlled environments.
Related Information
Available films- Al2O3, TiO2, WO3, NiOx. Compatible up to 4 inch diameter sample size
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
AJA Combinatorial Sputterer
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
The ATC-2200-HY has six sputter targets, one off-axis sputter target, e-beam source, in-situ ellipsometery and RHEED scanning capability. It is also fitted with a X-Y stage and masking system to deposit up to 25 index points in one deposition run.
Related Information
Combinatorial discovery of materials using vacuum based sputtering of different metals, metal oxide, metal sulphide, metal selenides, metal nitride, metal carbide thin films and mixtures thereof with thicknesses of ~5 – 1000’s of nanometres with a precision of 1.5% over a 6” diameter. Its applicability to deposit a wide variety of thin coatings makes it highly desirable for new material discovery and optimisation of absorber, transport and electrode materials for use in advanced solar cells.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Au Sputter Coater (EM Sample Prep)
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Sputter coating instrument for SEM sample preparation
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Desk magnetron sputter coater (DSR1)
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Sputter coating instrument for SEM sample preparation
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
EM Sample Prep Sputter Coater
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Sputter coating instrument for SEM sample preparation
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Intlvac Nanochrome – Sputterer
AC/DC Sputtering system with co-deposition
Melbourne Centre for Nanofabrication VIC Node
Description
An automated tool which can be used to deposit a wide variety of conductive thin films including metals, oxides, nitrides and alloys. The system allows for up to three materials to be co-deposited at once, with the option to vary the composition over time.
Related Information
Can coat with three materials in the same run.Features ion beam cleaning.Recipe-based automated operation.Can be used to coat samples of up to 8 inches in diameter.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Magnetron Sputtering
RF/DC sputtering system
Melbourne Centre for Nanofabrication VIC Node
Description
Manual tool which can be used to deposit a wide variety of conductive and non-conductive thin films including metals, oxides, nitrides and alloys. The system allows for up to two materials to be co-deposited at once, with the option to vary the composition over time.
Related Information
Can coat with two materials in the same run.Features ion beam cleaning.Manual operation.Can be used to coat samples of up to 6 inches in diameter.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
RF sputter deposition system- Kurt J. Lesker PVD75
RF sputter
Melbourne Centre for Nanofabrication VIC Node
Description
RF sputters
Related Information
DC/RF Magnetron sputtering system capable of sputter deposition of a wide range of materials. The instrument can be used for high temperature sputter deposition.
Tool Contact
arnan.mitchell@rmit.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Sputter Cr Quorum
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Chromium sputtering instrument devoted to EBL sample preparation
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Oxford Instruments PlasmaPro ICP – PECVD
Plasma enhanced chemical vapour deposition system (PECVD) for depositing SiOx and SiN
Melbourne Centre for Nanofabrication VIC Node
Description
Offers fast, affordable deposition at relatively low temperatures.
Related Information
Deposits SiO2, Si3N4, and amorphous Silicon at 100-400 degrees C.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
PECVD Oxford PlasmaLab
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Used to coat planar samples with Silicon Oxide or Silicon Nitride thin film
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Plasma Polymerisation Reactors
Deposition of a variety of organic films, including dedicated reactors for air plasma, allylamine (-NH2), acrylic acid (-COOH) and octadiene (-CH2). Samples up to 20 cm in diameter
Melbourne Centre for Nanofabrication VIC Node
Description
Custom built reactors to deposit a wide variety of organic thin films via plasma enhanced chemical vapour deposition (PE-CVD)
Related Information
More information to come.
Tool Contact
kljarvis@swin.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Microwave Diamond Deposition – BDD
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Used to coat seeded samples of any shape with boron-doped diamond.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Microwave Diamond Deposition – NV
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Used to coat seeded samples of any shape with boron-doped diamond.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Ni Electroplating System
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Digital Matrix SA1000 instrument provides high quality nikel coatings (electroplating) and shim fabrication (electroforming) for embossing. Maximum sample size upto 200mm in diameter
Related Information
Electroplating is a common process in the jewellery, automotive, and food industries but it is also highly useful in the production of semi-conducting electronics and optics. Different metals can also be used to grow shims for use in hot embossing and nano-imprint lithography, while they can also help to protect surfaces from aggressive etching processes. Nickel electroplating can also be used to cast PDMS in the creation of fluidic cells.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Nickel Electroforming/Plating
Nickel electroplating system
Melbourne Centre for Nanofabrication VIC Node
Description
Can fabricate Ni masters from patterned (photolithography, EBL) substrates to be used in hot embossing and nano-imprint lithography for mass production
Related Information
Up to 8 inch diameter sample size; mould thickness up to 1 micron, minimum feature size depends on aspect ratio
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
E-beam Evaporator (Dual Source)
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Single films or multi-material stacks are easily created using simple layer definitions. Features ion-beam pre-cleaning and sample heating capabilities.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
E-beam Evaporator
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Able to deposit single or multi-stack film. Features ion-beam pre-cleaning and sample stage cooling capabilities. This system is reserved for precious metals and adhesion metals deposition only. The following materials are allowed in the chamber. (Au, Ag, Pt, Pd, Ti, Cr)
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Electron beam evaporation system- Kurt J. Lesker PVD75
E-Beam evaporator
Melbourne Centre for Nanofabrication VIC Node
Description
KJLC PVD 75 Thin Film Deposition Systems
Related Information
Materials: Au, Ti, Ni, Pt, Al, and certain other materials upon request.
Tool Contact
arnan.mitchell@rmit.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Intlvac Nanochrome II – E-beam Evaporator
Electron beam evaporator
Melbourne Centre for Nanofabrication VIC Node
Description
Single films or multi-material stacks are easily created using simple layer definitions. Features ion-beam pre-cleaning and sample heating capabilities.
Related Information
Features a 10kV power supply and supports up to sixteen 4 inch wafers or fifteen 6 inch wafers or wafer mounted samples.Coating thickness are from 2nm up to 200nm (thickness >200nm by approval). Currently available in the materials library are metals (Al, Cr, Ti, Au, Ag), oxides (SiO2, TiO2, Al2O3, ITO), fluorides (MgF2) and semiconductors (Si, Ge).
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Aerosol spray coater- Sono-Tek
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
The ExactaCoat is a fully-enclosed programmable 3-axis robot that is ideal for any spray coating application. This system employs robust ball-screw slides driven by brushless DC servo motors. Sono-Tek ultrasonic nozzles are easily integrated. Spray pattern widths can be easily shaped depending on which nozzle is used. Patterns are easily shaped, ranging from 2 - 153 mm. Several liquid delivery options are available with a wide range of flow rates.
Related Information
Range of motion 400 mm x 400 mm x 100 mm , resolution 0.015 mm. Heater plate up to 150 degrees C.
Tool Contact
mark.bown@csiro.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Dip Coater- KSV-NIMA Large Multivessel
Programmable for up to 8 different solution vessels
Melbourne Centre for Nanofabrication VIC Node
Description
Deposits a coating via dipping and withdrawing the sample into solutions at a specified rate
Related Information
More information to come.
Tool Contact
kljarvis@swin.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Langmuir Blodgett Deposition Trough
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Langmuir Blodgett monolayer deposition trough for coating applications
Related Information
A Langmuir–Blodgett trough (LB trough) is an item of laboratory apparatus that is used to compress monolayers of molecules on the surface of a given subphase (usually water) and to measure surface phenomena due to this compression. It can also be used to deposit single or multiple monolayers on a solid substrate.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Parylene Coater- SCS
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
The SCS Labcoter® 2 (PDS 2010) vacuum deposition system uses powered parylene precursor (dimer) to apply ultra-thin inert and biocompatible coatings with excellent moisture, chemical and dielectric barrier properties. The controlled deposition can form films in thicknesses from several hundred angstroms to 75 microns.
Related Information
Samples can be up to 30cm by 20cm.
Tool Contact
mark.bown@csiro.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
ALD Cambridge NanoTech Fiji 200
Plasma assisted atomic layer deposition (PA-ALD) System
Melbourne Centre for Nanofabrication VIC Node
Description
The Fiji F200 is capable of both thermal and Plasma Assisted ALD (PA-ALD). PA-ALD expands the window for materials by decreasing activation energy and allows for deposition at lower temperatures to reduce precursor decomposition, deposition times and film contaminations. This tool is equipped to enable Cambridge Nanotech’s unique Exposure Mode™ for thin film deposition on ultra high aspect ratio substrates. In-situ film growth can be monitored using a spectroscopic ellipsometry.
Related Information
Substrate size - Up to 6 inch diameter. Maximum substrate heating - 500°C. Available ALD films- Al2O3, TiO2, SiO2, SnO2, ZnO, Ta2O5, MoO3, HfO2, TiN, AZO.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
ALD Cambridge NanoTech Savannah S100
Atomic layer deposition (ALD) system in a glovebox
Melbourne Centre for Nanofabrication VIC Node
Description
This glovebox-integrated thermal ALD system allows the user to deposit materials in controlled environments.
Related Information
Available films- Al2O3, TiO2, WO3, NiOx. Compatible up to 4 inch diameter sample size
Tool Contact
mcn-enquiries@nanomelbourne.com
Etching
Porous Silicon Etching
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
HF Etching
Description
Microporous silicon and silicon nanoparticles are fabricated by etching silicon in HF-based solutions under applied voltage. It is a complete solution for porous silicon formation and electro-polishing of silicon.
Related Information
Porous silicon (PS) has gained wide-spread attention over the last decade for its interesting material properties, including nanometer features and extremely high specific surface area. Applications are, amongst others, humidity sensors, particle filters, optical elements and biochemical matrices. Microporous silicon is fabricated by etching silicon in HF-based solutions under applied voltage.
Tool Contact
mcn-enquiries@nanomelbourne.com
Small Etching Cell
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
HF Etching
Description
Microporous silicon is fabricated by etching silicon in HF-based solutions under applied voltage. It is a complete solution for porous silicon formation and electro-polishing of silicon.
Related Information
Porous silicon in small batches
Tool Contact
mcn-enquiries@nanomelbourne.com
Benchtop Plasma Asher
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Plasma etching
Description
Harrick plasma etcher / asher
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Large Plasma Asher
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Plasma etching
Description
General Plasma cleaning system
Related Information
Plasma Asher commonly used to clean materials of organics materials, and can also be used for surface treatment of materials
Tool Contact
mcn-enquiries@nanomelbourne.com
ICP/RIE – Silicon-specific dry etching
Deep reactive ion etcher (DRIE) capable of Bosch process
Melbourne Centre for Nanofabrication VIC Node
Technique
Deep Reactive Ion Etching (DRIE)
Description
Silicon-specific dry etching with good control over feature size and Bosch process capability for high aspect ratio structures.
Related Information
SF6 gas is turned in to a plasma using RF power. The plasma that is created is highly reactive to Silicon. The SF6 combined with a passivating chemistry using C4F8, which is used to control the Silicon etching profile.
Tool Contact
mcn-enquiries@nanomelbourne.com
ICP/RIE (Multi-etch system)
Deep reactive ion etcher (DRIE)
Melbourne Centre for Nanofabrication VIC Node
Technique
Deep Reactive Ion Etching (DRIE)
Description
General dry reactive etching of wide range of materials.
Related Information
Reactive species and ions are used to react with the substrate that is placed in the reactor to etch Si, SiO2, SI3N4, SiC, a-Si, Ti, TiW, Mo, Nb, Ta, Graphene and other 2D materials, Cr, Au, LiNbO3, Al, Al2O3, Hf, Hf02, TiN, TiO2, and more.
Tool Contact
mcn-enquiries@nanomelbourne.com
NLD RIE Si/SiO2
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Deep Reactive Ion Etching (DRIE)
Description
ULVAC NLD-570 etch system is designed with low process pressure, high density plasma, low electron temperature which are perfect for the etch applications of deep oxide, LiNbO3, TiO2, quartz, glass, and Pyrex etc. The NLD-570 is also capable of etching Si both in RIE and DRIE applications.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
SAMCO ICP-RIE System
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Deep Reactive Ion Etching (DRIE)
Description
The RIE-400iP is a load lock type etching system used to precisely and uniformly etch various semiconductor materials and dielectric films. The system is equipped with unique ICP source for generating uniform and high-density plasma.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Porous Silicon Etching
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Microporous silicon and silicon nanoparticles are fabricated by etching silicon in HF-based solutions under applied voltage. It is a complete solution for porous silicon formation and electro-polishing of silicon.
Related Information
Porous silicon (PS) has gained wide-spread attention over the last decade for its interesting material properties, including nanometer features and extremely high specific surface area. Applications are, amongst others, humidity sensors, particle filters, optical elements and biochemical matrices. Microporous silicon is fabricated by etching silicon in HF-based solutions under applied voltage.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Small Etching Cell
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Microporous silicon is fabricated by etching silicon in HF-based solutions under applied voltage. It is a complete solution for porous silicon formation and electro-polishing of silicon.
Related Information
Porous silicon in small batches
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Benchtop Plasma Asher
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Harrick plasma etcher / asher
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Large Plasma Asher
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
General Plasma cleaning system
Related Information
Plasma Asher commonly used to clean materials of organics materials, and can also be used for surface treatment of materials
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
ICP/RIE – Silicon-specific dry etching
Deep reactive ion etcher (DRIE) capable of Bosch process
Melbourne Centre for Nanofabrication VIC Node
Description
Silicon-specific dry etching with good control over feature size and Bosch process capability for high aspect ratio structures.
Related Information
SF6 gas is turned in to a plasma using RF power. The plasma that is created is highly reactive to Silicon. The SF6 combined with a passivating chemistry using C4F8, which is used to control the Silicon etching profile.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
ICP/RIE (Multi-etch system)
Deep reactive ion etcher (DRIE)
Melbourne Centre for Nanofabrication VIC Node
Description
General dry reactive etching of wide range of materials.
Related Information
Reactive species and ions are used to react with the substrate that is placed in the reactor to etch Si, SiO2, SI3N4, SiC, a-Si, Ti, TiW, Mo, Nb, Ta, Graphene and other 2D materials, Cr, Au, LiNbO3, Al, Al2O3, Hf, Hf02, TiN, TiO2, and more.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
NLD RIE Si/SiO2
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
ULVAC NLD-570 etch system is designed with low process pressure, high density plasma, low electron temperature which are perfect for the etch applications of deep oxide, LiNbO3, TiO2, quartz, glass, and Pyrex etc. The NLD-570 is also capable of etching Si both in RIE and DRIE applications.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
SAMCO ICP-RIE System
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
The RIE-400iP is a load lock type etching system used to precisely and uniformly etch various semiconductor materials and dielectric films. The system is equipped with unique ICP source for generating uniform and high-density plasma.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Laboratory Infrastructure
Tape caster
Tape caster
RMIT VIC Node
Technique
Tape casting
Description
Tape caster
Related Information
Tape Caster AT06001 combines component accessibility, logical carrier film flow, and precision to maximize operational efficiency.
Tool Contact
arnan.mitchell@rmit.edu.au
Planetary Centrifugal Mixer- THINKY
Planetary Centrifugal Mixer
RMIT VIC Node
Technique
Planetary centrifugal mixer
Description
Polymer binder preparation
Related Information
Revolution speed: Max. 2,000 rpm (0 rpm and 200 rpm – 2,000 rpm / Increment per 10 rpm); Rotation speed: Max. 1,000 rpm (1/2 of revolution speed); Standard container: Inner volume: 300 ml and 150 ml, Material: HDPE.
Tool Contact
arnan.mitchell@rmit.edu.au
Ion Beam Milling System – Leica EM TIC 3X
Triple ion-beam milling with cryo stage
University of Melbourne VIC Node
Technique
Ion beam milling
Description
Broad ion beam cross-sectioning and polishing
Related Information
Triple ion-beam milling with cryo stage
Tool Contact
elena.taran@unimelb.edu.au
Critical Point Dryer – Leica EM CPD300
Fully automated with a variety of sample holders. Drying delicate samples for SEM/HIM/AFM analysis.
University of Melbourne VIC Node
Technique
Critical point dryer
Description
Fully automated Critical Point Dryer
Related Information
Fully automated with a variety of sample holders
Tool Contact
elena.taran@unimelb.edu.au
Cryostat – Lecia CM1950
Cuts 3 to 20um thin section of frozen samples (biological or soft materials)
University of Melbourne VIC Node
Technique
Cryostat
Description
Cryostat with motorised stage for large numbers of sections of frozen materials
Related Information
Motorised sectioning of specimens: cuts 1-100 micrometres slices.
Tool Contact
elena.taran@unimelb.edu.au
Sanyo -80C Freezer
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Biological freezer
Description
Freezer for storage of sensitive biologicals
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Cell Culture Incubator
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Cell Culture Incubator
Description
A specialised piece of laboratory equipment designed to provide a controlled environment for the growth and maintenance of cell cultures. It typically maintains specific conditions such as temperature, humidity, and CO2 levels to promote optimal cell growth and viability. These incubators are essential for various cell-based experiments and research in fields like biology, biotechnology, and medicine.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Ceramic tape preparation (green tape preparation)
Custom Green Tape Production
RMIT VIC Node
Technique
Ceramic tape preparation
Description
Group of equipment, including fume hood, scale, ultrasonic bath, hotplate, usually used to make inorganic material.
Related Information
Custom ceramic slurry, or 3-D printing inks
Tool Contact
arnan.mitchell@rmit.edu.au
Automated Wetbench Suite
Set of wet benches for wet processing
Melbourne Centre for Nanofabrication VIC Node
Technique
Wetbench
Description
The automated wet-bench suite is comprised of three custom made instruments – a manual wet processing deck, a semi-automated chemical cleaning station and an IPA aerosol vapour dryer. All three wetbench instruments are designed to accommodate 25 wafers processing at a time resulting in high throughput and large volume of chemical ensure repeatable results. The wetbench suite significantly reduces the risk of using dangerous chemical by eliminating beakers and safe waste management systems.
Related Information
Manual wet processing baths - KOH, Cr etcher, 5% H2SO4, IPA and DI water. Semi-automated chemical cleaning station (enclosed, exhausted) baths - piranha, SC-2, buffered oxide etch (BOE), DI water and ultrasonic acetone de-scum station. The IPA aerosol vapour dryer dries without any need for heaters or rotation. Substrate size - Up to 6 ". Batch processing - Up to 25 wafers.
Tool Contact
mcn-enquiries@nanomelbourne.com
PDMS Casting Bench
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Wetbench
Description
General purpose workspace devoted to the preparation and casting of PDMS materials
Related Information
PDMS casting involves the fabrication of polydimethylsiloxane (PDMS) structures by pouring the liquid polymer into a mold and allowing it to cure. This technique is widely used in microfluidics, soft robotics, and biomedical applications due to PDMS's biocompatibility, flexibility, and ease of fabrication
Tool Contact
mcn-enquiries@nanomelbourne.com
Robotic Wet Bench
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Wetbench
Description
Robotic wet bench is a semi-automated chemical cleaning station which comprises of RCA cleaning baths (DI water, SC1, SC2 and HF buffered oxide etch baths) and megasonic acetone cleaning (Lift off) bath. Robotic wet bench is designed to accommodate 25 wafers processing at a time resulting in high throughput and large volume of chemical ensure repeatable results. The Robotic wet bench system significantly reduces the risk of using dangerous chemical by eliminating beakers and safe waste management.
Related Information
The Robotic wet bench is a semi- automated process tool that is used to carry out wet etching or cleaning steps of a fabrication process. It has four baths for RCA cleaning of wafers- DI water, SC1 (piranha cleaning), SC2 (HCl + H2O2) and HF buffered oxide etch. In addition it has a megasonic acetone cleaning bath for lift off process.
Tool Contact
mcn-enquiries@nanomelbourne.com
Standalone Wet Bench
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Wetbench
Description
Standalone wet bench is a manual chemical processing station having six separtated baths- KOH etching, Cr etching, DI water cleaning, and the rest baths are currently not in use. This wet bench is designed to accommodate 25 wafers processing at a time resulting in high throughput and large volume of chemical ensure repeatable results. This wet bench system significantly reduces the risk of using dangerous chemical by eliminating beakers and safe waste management.
Related Information
The Standalone wet bench is a wet chemical process tool that eliminates glasswares during processing as well as the safe waste management system to carry out wet etching or cleaning steps of a fabrication process.
Tool Contact
mcn-enquiries@nanomelbourne.com
Biosafety Cabinets
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Fumehoods
Description
A ventilated enclosure used in laboratories to provide a safe environment for working with potentially hazardous biological materials.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Fumehood Cabinets
Topsafe Class II
Melbourne Centre for Nanofabrication VIC Node
Technique
Fumehoods
Description
A recirculating fume cupboard with a spin coater and a hot plate.
Related Information
Standard equipment for photolithography, using a wide range of photoresists (AZ, SU8, PPA, PMMA) and various substrates.
Tool Contact
mcn-enquiries@nanomelbourne.com
Laminar Flow Cabinet
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Fumehoods
Description
A laminar flow cabinet is a type of enclosed workspace used in laboratories and cleanrooms to provide a sterile and particle-free environment for conducting experiments, handling sensitive materials, or preparing samples.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Tape caster
Tape caster
Melbourne Centre for Nanofabrication VIC Node
Description
Tape caster
Related Information
Tape Caster AT06001 combines component accessibility, logical carrier film flow, and precision to maximize operational efficiency.
Tool Contact
arnan.mitchell@rmit.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Planetary Centrifugal Mixer- THINKY
Planetary Centrifugal Mixer
Melbourne Centre for Nanofabrication VIC Node
Description
Polymer binder preparation
Related Information
Revolution speed: Max. 2,000 rpm (0 rpm and 200 rpm – 2,000 rpm / Increment per 10 rpm); Rotation speed: Max. 1,000 rpm (1/2 of revolution speed); Standard container: Inner volume: 300 ml and 150 ml, Material: HDPE.
Tool Contact
arnan.mitchell@rmit.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Ion Beam Milling System – Leica EM TIC 3X
Triple ion-beam milling with cryo stage
Melbourne Centre for Nanofabrication VIC Node
Description
Broad ion beam cross-sectioning and polishing
Related Information
Triple ion-beam milling with cryo stage
Tool Contact
elena.taran@unimelb.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Critical Point Dryer – Leica EM CPD300
Fully automated with a variety of sample holders. Drying delicate samples for SEM/HIM/AFM analysis.
Melbourne Centre for Nanofabrication VIC Node
Description
Fully automated Critical Point Dryer
Related Information
Fully automated with a variety of sample holders
Tool Contact
elena.taran@unimelb.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Cryostat – Lecia CM1950
Cuts 3 to 20um thin section of frozen samples (biological or soft materials)
Melbourne Centre for Nanofabrication VIC Node
Description
Cryostat with motorised stage for large numbers of sections of frozen materials
Related Information
Motorised sectioning of specimens: cuts 1-100 micrometres slices.
Tool Contact
elena.taran@unimelb.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Sanyo -80C Freezer
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Freezer for storage of sensitive biologicals
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Cell Culture Incubator
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
A specialised piece of laboratory equipment designed to provide a controlled environment for the growth and maintenance of cell cultures. It typically maintains specific conditions such as temperature, humidity, and CO2 levels to promote optimal cell growth and viability. These incubators are essential for various cell-based experiments and research in fields like biology, biotechnology, and medicine.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Ceramic tape preparation (green tape preparation)
Custom Green Tape Production
Melbourne Centre for Nanofabrication VIC Node
Description
Group of equipment, including fume hood, scale, ultrasonic bath, hotplate, usually used to make inorganic material.
Related Information
Custom ceramic slurry, or 3-D printing inks
Tool Contact
arnan.mitchell@rmit.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Automated Wetbench Suite
Set of wet benches for wet processing
Melbourne Centre for Nanofabrication VIC Node
Description
The automated wet-bench suite is comprised of three custom made instruments – a manual wet processing deck, a semi-automated chemical cleaning station and an IPA aerosol vapour dryer. All three wetbench instruments are designed to accommodate 25 wafers processing at a time resulting in high throughput and large volume of chemical ensure repeatable results. The wetbench suite significantly reduces the risk of using dangerous chemical by eliminating beakers and safe waste management systems.
Related Information
Manual wet processing baths - KOH, Cr etcher, 5% H2SO4, IPA and DI water. Semi-automated chemical cleaning station (enclosed, exhausted) baths - piranha, SC-2, buffered oxide etch (BOE), DI water and ultrasonic acetone de-scum station. The IPA aerosol vapour dryer dries without any need for heaters or rotation. Substrate size - Up to 6 ". Batch processing - Up to 25 wafers.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
PDMS Casting Bench
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
General purpose workspace devoted to the preparation and casting of PDMS materials
Related Information
PDMS casting involves the fabrication of polydimethylsiloxane (PDMS) structures by pouring the liquid polymer into a mold and allowing it to cure. This technique is widely used in microfluidics, soft robotics, and biomedical applications due to PDMS's biocompatibility, flexibility, and ease of fabrication
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Robotic Wet Bench
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Robotic wet bench is a semi-automated chemical cleaning station which comprises of RCA cleaning baths (DI water, SC1, SC2 and HF buffered oxide etch baths) and megasonic acetone cleaning (Lift off) bath. Robotic wet bench is designed to accommodate 25 wafers processing at a time resulting in high throughput and large volume of chemical ensure repeatable results. The Robotic wet bench system significantly reduces the risk of using dangerous chemical by eliminating beakers and safe waste management.
Related Information
The Robotic wet bench is a semi- automated process tool that is used to carry out wet etching or cleaning steps of a fabrication process. It has four baths for RCA cleaning of wafers- DI water, SC1 (piranha cleaning), SC2 (HCl + H2O2) and HF buffered oxide etch. In addition it has a megasonic acetone cleaning bath for lift off process.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Standalone Wet Bench
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Standalone wet bench is a manual chemical processing station having six separtated baths- KOH etching, Cr etching, DI water cleaning, and the rest baths are currently not in use. This wet bench is designed to accommodate 25 wafers processing at a time resulting in high throughput and large volume of chemical ensure repeatable results. This wet bench system significantly reduces the risk of using dangerous chemical by eliminating beakers and safe waste management.
Related Information
The Standalone wet bench is a wet chemical process tool that eliminates glasswares during processing as well as the safe waste management system to carry out wet etching or cleaning steps of a fabrication process.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Biosafety Cabinets
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
A ventilated enclosure used in laboratories to provide a safe environment for working with potentially hazardous biological materials.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Fumehood Cabinets
Topsafe Class II
Melbourne Centre for Nanofabrication VIC Node
Description
A recirculating fume cupboard with a spin coater and a hot plate.
Related Information
Standard equipment for photolithography, using a wide range of photoresists (AZ, SU8, PPA, PMMA) and various substrates.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Laminar Flow Cabinet
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
A laminar flow cabinet is a type of enclosed workspace used in laboratories and cleanrooms to provide a sterile and particle-free environment for conducting experiments, handling sensitive materials, or preparing samples.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Lithography
He Ion Microscope
No need for conductive coatings traditionally required by SEM
University of Melbourne VIC Node
Technique
He Ion Microscope (HIM) Etching
Description
Gas field ion source based equipment for nanofabrication and high resolution imaging
Related Information
He/Ne beam for nano-scale milling
Tool Contact
elena.taran@unimelb.edu.au
ABM Stand Alone UV Exposure System
UV flood exposure source
Melbourne Centre for Nanofabrication VIC Node
Technique
UV Flood Exposure
Description
A versatile system that floods the exposure chamber with UV light.
Related Information
This equipment can produce features that measure ~2 µm in size.A 7-inch sample well allows for versatility and processing of relatively large or abnormally shaped substrates and samples.Most substrate materials can be used.
Tool Contact
mcn-enquiries@nanomelbourne.com
Thermal Scanning Probe Lithography-NanoFrazor
Thermal Scanning Probe Lithography (t-SPL) system
Melbourne Centre for Nanofabrication VIC Node
Technique
Thermal Scanning Probe Lithography (t-SPL)
Description
Operates at ambient temperature, pressure, low voltage, and under N2 atmosphere.Not reliant on ion gun or electron beam which is good when processing sensitive materials and devices.
Related Information
Uses a 1,000°C cantilever tip to sublimate PPA resist.Sub -10nm resolution, <2nm vertical accuracy
Tool Contact
mcn-enquiries@nanomelbourne.com
Combination Spinner/Hotplate
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Spin coating and wafer development
Description
High uniformity coating of 4 inch wafers with photoresist, with hotplate attached
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
HG Programmable Hotplate
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Spin coating and wafer development
Description
Programmable hotplate, 3 programmes, 5 ramps.
Related Information
High temperature titanium hotplate up to 600°C, 230V, without regulator, antimagnetic and rustproof, 2000W, plate size 280x200 mm, with cover
Tool Contact
mcn-enquiries@nanomelbourne.com
Laminator Sky 325R6
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Spin coating and wafer development
Description
Laminator with programmable temperature and speed control.
Related Information
The SKY laminator is used to apply SU8 dry films, to silicon wafers. These films are thicknesses ranging from 100 µm to more than 500 µm, and are thus a preferred alternative to spinning thick films of liquid SU8 resist.
Tool Contact
mcn-enquiries@nanomelbourne.com
Lithography Track System
Automated spin-coating and wafer developing system
Melbourne Centre for Nanofabrication VIC Node
Technique
Spin coating and wafer development
Description
A fully automated system for wafer processing which includes spin coating, HMDS application, baking, and development.The system is well suited to batch scale production, providing high process performance and consistency in coating and development.
Related Information
Substrate size - 4 and 6 inch wafers. Batch process size - up to 25 wafers at a time. Resist system used - AZ 1512 and AZ 4562 photoresists to coat wafers and AZ 400K for development.
Tool Contact
mcn-enquiries@nanomelbourne.com
Pico Track Automatic spin coater and developer
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Spin coating and wafer development
Description
PCT-150RRE is capable of running wafer sizes of 4 inch and 6 inch diameter with no change-over requirements. Comprised of numerous spin modules, the system can adapt to virtually all photolithography processes with precision and produce patterned wafers with extremely high uniformity. The track system automates all steps continuously including adhesion promoter, pre-baking, resist coating, edge-bead removal (EBR), development, and post-baking.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Spinner SUSS 6 inch wafer
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Spin coating and wafer development
Description
High uniformity coating of 6 inch wafers with photoresist
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Suss Delta RC80+ Spin Coater
Spin coater
Melbourne Centre for Nanofabrication VIC Node
Technique
Spin coating and wafer development
Description
Spin coater set up to process 4-inch wafers and also features a hot plate.
Related Information
high uniformity spin coater.
Tool Contact
mcn-enquiries@nanomelbourne.com
Suss RC8 Gyrosett Spinner
Spin coater
RMIT VIC Node
Technique
Spin coating and wafer development
Description
Spin coater
Related Information
Wafer size: Pieces and up to 4 inch wafers; Spin speed: 6000RPM (based on a ø100mm silicon wafer).
Tool Contact
arnan.mitchell@rmit.edu.au
EVG 620 Mask Aligner with UV Nanoprint
Mask aligner and resist exposure system with NIL capability
Melbourne Centre for Nanofabrication VIC Node
Technique
Nano Imprint Lithography
Description
A high-resolution mask aligner with split-field microscopes that is capable of handling multiple wafer sizes with quick change-over time. Features back side alignment capability for mask aligning.
Related Information
Used for a variety of applications to transfer multiple layers of nanoscale patterns into photoresist films.Provides a minimum feature size of approximately 1 µm.
Tool Contact
mcn-enquiries@nanomelbourne.com
Displacement Talbot Lithography
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Multiple mask lithography
Description
The PhableR tool provides unprecedented ability to print high resolution periodic structures in a low-cost, table-top system.Structures such as sub-micron period linear gratings and 2D patterns such as hexagonal and square lattices are printed with high uniformity and fidelity.
Related Information
The PhableR-100C uses DUV for patterning at high resolution below 300nm pitch and exposures are non-contact, protecting wafers and masks from physical contact and contamination.
Tool Contact
mcn-enquiries@nanomelbourne.com
Mask Aligner
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Multiple mask lithography
Description
SUSS MA6 allow for aligning multi layer features using multiple masks. This means that multiple layers can be built up on a single device by using appropriate alignment markers in the mask design.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Mask Aligner/UV NIL EVG
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Multiple mask lithography
Description
EVG 6200 allow for aligning multi layer features using multiple masks. This means that multiple layers can be built up on a single device by using appropriate alignment markers in the mask design. In addition to backside alignment capability
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
Direct Write Heidelberg MLA150
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Maskless lithography
Description
Maskless aligner MLA150 is a photlolithography tool that provides non-contact exposure, outstanding ease of use, and high speed, making it the ideal tool in rapid prototyping environments, for low- to mid-volume production, and Research & Development.
Related Information
The MLA150 is equipped with both 405nm and 375nm solid state laser sources. It is equipped with write mode I and is capable of producing minimum structure size of 0.6um. The system comes equipped with an automated handling unit which can support batch direct-write lithography on standard size wafers such as 6in and 4in substrates as well as 5in mask plates.
Tool Contact
mcn-enquiries@nanomelbourne.com
Intelligent Micropatterning SF100 XPRESS
Maskless micropatterning system
Melbourne Centre for Nanofabrication VIC Node
Technique
Maskless lithography
Description
A maskless photolithography system capable of writing features down to 1 μm, that offers speed and cost benefits over masked systems.
Related Information
A wide range of materials can be processed, and provides great control in writing and aligning fine features on smaller substrates. The process us non-contact, which reduces risk of damaged samples. Commonly used to quickly and accurately create photomasks.
Tool Contact
mcn-enquiries@nanomelbourne.com
EVG 520 Wafer System
Hot embossing tool
Melbourne Centre for Nanofabrication VIC Node
Technique
Hot embossing
Description
Can emboss wafers and perform bonding such as anodic bonding, fusion bonding, eutectic bonding and epoxy bonding.
Related Information
Used for controlled embossing into polycarbonate and COC substrates of up to 6 inches in diameter.
Tool Contact
mcn-enquiries@nanomelbourne.com
FEI Helios NanoLab 600 Dual Beam FIB-SEM
Scanning electron microscope with focused ion beam for milling (FIB-SEM)
Melbourne Centre for Nanofabrication VIC Node
Technique
Focused Ion Beam (FIB) milling
Description
Ultra-high resolution three dimensional imaging for topography, surface morphology and maskless ion beam direct lithography.
Related Information
Ultra-high resolution imaging of samples of all sizes up to 6-inch wafers. The 5-axis stage and 100mm stage movement add flexibility to this equipment. Choice of Secondary Electrons (SE) detector best for topography images and Back-Scattered Electrons (BSE) detector for studies of features deep beneath the surface. Ion beam patterning with 30 kV accelerating voltage and variable currents.
Tool Contact
mcn-enquiries@nanomelbourne.com
Electron Beam Lithography (EBL) System
Electron beam lithography (EBL) system
Melbourne Centre for Nanofabrication VIC Node
Technique
Electron Beam Lithography (EBL)
Description
EBL that is capable of exposing thick layers of e-beam resist of up to several micrometres with small forward scattering.Fully automated equipment features a laser height measurement for automatic focus adjustment.
Related Information
Can produces ~10nm structures.Metrology functions for self-calibration.Operates at up to 100 keV acceleration voltage.The electron beam spot can be focused to less than 5 nm in diameter. A wide range of beam currents (200 pA – 150 nA) are available for high-throughput as well as high-resolution exposures. 6-inch wafers and mask blanks measuring up to 5 × 5 inches can be processed.Rapid exposure with 50 MHz pattern generator.A laser-guided substrate stage provides 15 nm field stitching error.Maximum writing field of 1 x 1 mm.The overlay accuracy is below 20nm.For the conversion of the CAD patterns into machine specific format, including proximity effect correction (PEC), special software, TRACER and BEAMER from GenIsys GmbH are used.
Tool Contact
mcn-enquiries@nanomelbourne.com
Direct Write Laser- Heidelberg MLA150_1
Maskless Aligner
RMIT VIC Node
Technique
Direct laser lithography
Description
Maskless Aligner
Related Information
Minimum Structure Size [μm]: 1 μm; Maximum Write Area [mm²]: 150 x 150; Alignment Accuracy*[3σ, nm] for 100 x 100 mm²: <=500.
Tool Contact
arnan.mitchell@rmit.edu.au
NanoScribe
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Direct laser lithography
Description
The NanoScribe Photic Professional GT2 (PPGT2) is a direct laser lithography system that uses two-photon polymerisation for additive 3D printing. A focal spot volume is defined by an IR femtosecond laser that concentrates light pulses and within this volume polymerisation occurs. The X-, Y- and Z-coordinate of a CAD model is defined by a focal spot volume, allowing for a CAD design to be printed as a 3D nanostructure layer-by-layer.
Related Information
Two-photon polymerization is based on the principle of photopolymerization. Here, targeted exposure to light triggers the polymerization, or the process to bring monomers together to create a polymer chain, of synthetic resins. This chain reaction causes the molecules to bond and harden, resulting in 3D models.
Tool Contact
mcn-enquiries@nanomelbourne.com
Electrocleaning DigitalMatrix PMT
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Technique
Cleaning
Description
It is an instrument for electrocleaning and passivation layer formation which is one of the the process steps for fabricating a copy of the Master mould (Ni shim). Passivation layer will make it easy for separation/un-zipping of the two Ni metal shims after electroforming.
Related Information
The instrument uses highly alkalline based electrolyte and runs automated electrocleaning and passivation anodic/cathodic cycles to clean the sample surface first to form a passivating thin oxide layer.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
He Ion Microscope
No need for conductive coatings traditionally required by SEM
Melbourne Centre for Nanofabrication VIC Node
Description
Gas field ion source based equipment for nanofabrication and high resolution imaging
Related Information
He/Ne beam for nano-scale milling
Tool Contact
elena.taran@unimelb.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
ABM Stand Alone UV Exposure System
UV flood exposure source
Melbourne Centre for Nanofabrication VIC Node
Description
A versatile system that floods the exposure chamber with UV light.
Related Information
This equipment can produce features that measure ~2 µm in size.A 7-inch sample well allows for versatility and processing of relatively large or abnormally shaped substrates and samples.Most substrate materials can be used.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Thermal Scanning Probe Lithography-NanoFrazor
Thermal Scanning Probe Lithography (t-SPL) system
Melbourne Centre for Nanofabrication VIC Node
Description
Operates at ambient temperature, pressure, low voltage, and under N2 atmosphere.Not reliant on ion gun or electron beam which is good when processing sensitive materials and devices.
Related Information
Uses a 1,000°C cantilever tip to sublimate PPA resist.Sub -10nm resolution, <2nm vertical accuracy
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Combination Spinner/Hotplate
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
High uniformity coating of 4 inch wafers with photoresist, with hotplate attached
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
HG Programmable Hotplate
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Programmable hotplate, 3 programmes, 5 ramps.
Related Information
High temperature titanium hotplate up to 600°C, 230V, without regulator, antimagnetic and rustproof, 2000W, plate size 280x200 mm, with cover
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Laminator Sky 325R6
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
Laminator with programmable temperature and speed control.
Related Information
The SKY laminator is used to apply SU8 dry films, to silicon wafers. These films are thicknesses ranging from 100 µm to more than 500 µm, and are thus a preferred alternative to spinning thick films of liquid SU8 resist.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Lithography Track System
Automated spin-coating and wafer developing system
Melbourne Centre for Nanofabrication VIC Node
Description
A fully automated system for wafer processing which includes spin coating, HMDS application, baking, and development.The system is well suited to batch scale production, providing high process performance and consistency in coating and development.
Related Information
Substrate size - 4 and 6 inch wafers. Batch process size - up to 25 wafers at a time. Resist system used - AZ 1512 and AZ 4562 photoresists to coat wafers and AZ 400K for development.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Pico Track Automatic spin coater and developer
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
PCT-150RRE is capable of running wafer sizes of 4 inch and 6 inch diameter with no change-over requirements. Comprised of numerous spin modules, the system can adapt to virtually all photolithography processes with precision and produce patterned wafers with extremely high uniformity. The track system automates all steps continuously including adhesion promoter, pre-baking, resist coating, edge-bead removal (EBR), development, and post-baking.
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Spinner SUSS 6 inch wafer
More information to come.
Melbourne Centre for Nanofabrication VIC Node
Description
High uniformity coating of 6 inch wafers with photoresist
Related Information
More information to come.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Suss Delta RC80+ Spin Coater
Spin coater
Melbourne Centre for Nanofabrication VIC Node
Description
Spin coater set up to process 4-inch wafers and also features a hot plate.
Related Information
high uniformity spin coater.
Tool Contact
mcn-enquiries@nanomelbourne.com
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Suss RC8 Gyrosett Spinner
Spin coater
Melbourne Centre for Nanofabrication VIC Node
Description
Spin coater
Related Information
Wafer size: Pieces and up to 4 inch wafers; Spin speed: 6000RPM (based on a ø100mm silicon wafer).
Tool Contact
arnan.mitchell@rmit.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
EVG 620 Mask Aligner with UV Nanoprint
Mask aligner and resist exposure system with NIL capability
Melbourne Centre for Nanofabrication VIC Node