ANFF New South Wales
Home > Locations
Overview

The NSW Node of ANFF is split between the University of New South Wales (UNSW) and the University of Sydney.

The core area of expertise for ANFF’s activities at UNSW includes nanoelectronics, with both Si-MOS and GaAs devices with sub-50 nm feature sizes routinely produced using the hub’s suite of high-resolution EBL systems within a 600 m2 laboratory complex providing cleanrooms with particle counts equivalent to ISO5, ISO6 and ISO7. The full range of research disciplines regularly supported by ANFF NSW @ UNSW is broad, encompassing quantum computing, biomedical devices, nanophotonics, medical detectors and photovoltaics.

ANFF NSW equipment at the University of Sydney forms part of the Research and Prototype Foundry (RPF), a Core Research Facility based at the Sydney Nanoscience Hub. The RPF enables the development of optical chips, electronic devices and new quantum science and technology via outstanding lithography, etching, deposition and metrology capabilities.

Node Competencies

Specialist fields: nanoelectronics (Si-MOS and GaAs); semiconductor device processing; optics; quantum technologies; photovoltaics.

Flagship facilities: high resolution EBL systems, including the flagship Raith 150TWO; UV lithography equipment; a range of deposition systems and etching tools; high temperature silicon oxidation, diffusion and annealing furnaces; wet chemical process lines; a suite of metrology tools; device packaging and bonding tools.

Contact

Node Director: Prof François Ladouceur
Email: f.ladouceur@unsw.edu.au
Phone: +61 2 9385 6311

Facility Manager: Matthew Boreland
Email: m.boreland@unsw.edu.au
Phone: +61 2 9385 7845

Location
University of New South Wales
Address

Level 3 Newton Building
The University of New South Wales
Sydney NSW 2052

University of Sydney
Address

Sydney Nanoscience Hub
University of Sydney
NSW 2052

+61 2 9036 9050

University of Technology Sydney
Address

UTS Science
Building 7, Thomas St
Ultimo NSW 2007

Mailing Address
UTS Science Store
Building 1, Level 2, Thomas St
Ultimo NSW 2007

Equipment
Deposition
Edwards 306 thermal evaporator
Thermal evaporator with angled and cold evaporation stages
NSW Node University of New South Wales
Technique
Thermal evaporation
Description
thermal evaporator, angled evaporation stage, cold evaporation stage
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Lesker thermal evaporator
Thermal evaporator
NSW Node University of New South Wales
Technique
Thermal evaporation
Description
thermal evaporator, general purpose
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Edwards sputterer
Sputtering system
NSW Node University of New South Wales
Technique
Sputtering
Description
sputtering system, short throw (thick depositions)
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
HHV TF600
Sputtering system
NSW Node University of New South Wales
Technique
Sputtering
Description
sputtering system, 8" capability, multi-target, co-sputtering
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Sputter coater- Emitech K550
Sputter Coater
NSW Node University of Sydney
Technique
Sputtering
Description
Small throw sputter coater for coating of small samples
Related Information
2 inch sputter targets of Au, Ag and Ti available.
Tool Contact
rpf.queries@sydney.edu.au
Oxford Instruments Plasmalab 100 – PECVD setup
Plasma enhanced chemical vapour deposition system (PECVD)
NSW Node University of New South Wales
Technique
Plasma Enhanced Chemical Vapour Deposition (PECVD)
Description
PECVD
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Pascal Laser MBE
dual chamber laser-MBE system
NSW Node University of New South Wales
Technique
Molecular Beam Epitaxy (MBE)
Description
dual chamber laser-MBE system
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Veeco Gen930
Molecular Beam Epitaxy (MBE) system for III-V materials
NSW Node University of New South Wales
Technique
Molecular Beam Epitaxy (MBE)
Description
III-V MBE system
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Seki CVD- small unit
MPCVD system for deposition of doped diamond
NSW Node University of Technology Sydney
Technique
Microwave Plasma-enhanced Chemical Vapour Deposition (MPCVD)
Description
Small bell-jar type reactor for growing small samples of doped diamond films
Related Information
Doped films. Nitrogen, Boron, Germanium, Nickel etc.
Tool Contact
Toby.Shanley-1@uts.edu.au
Spray Coater- Sono-Tek
Spray Coater
NSW Node University of New South Wales
Technique
Coatings
Description
Ultrasonic spray coating system
Related Information
Maximum 300 x 300 mm coating area
Tool Contact
anff@unsw.edu.au
Cambridge NanoTech Savannah S200
Atomic layer deposition (ALD) system with two precursor sources
NSW Node University of New South Wales
Technique
Atomic Layer Deposition (ALD)
Description
ALD system, two precursor sources
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
PicoSun R-200 ALD
Small Chip to 200mm substrate size temp range 100 degrees 500 degrees. Setup for deposition Al2o3 and HfO2
NSW Node University of New South Wales
Technique
Atomic Layer Deposition (ALD)
Description
Atomic Layer Deposition (ALD) system
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Edwards 306 thermal evaporator
Thermal evaporator with angled and cold evaporation stages
NSW Node University of New South Wales
Description
thermal evaporator, angled evaporation stage, cold evaporation stage
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Lesker thermal evaporator
Thermal evaporator
NSW Node University of New South Wales
Description
thermal evaporator, general purpose
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Edwards sputterer
Sputtering system
NSW Node University of New South Wales
Description
sputtering system, short throw (thick depositions)
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
HHV TF600
Sputtering system
NSW Node University of New South Wales
Description
sputtering system, 8" capability, multi-target, co-sputtering
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Sputter coater- Emitech K550
Sputter Coater
NSW Node University of New South Wales
Description
Small throw sputter coater for coating of small samples
Related Information
2 inch sputter targets of Au, Ag and Ti available.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Oxford Instruments Plasmalab 100 – PECVD setup
Plasma enhanced chemical vapour deposition system (PECVD)
NSW Node University of New South Wales
Description
PECVD
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Pascal Laser MBE
dual chamber laser-MBE system
NSW Node University of New South Wales
Description
dual chamber laser-MBE system
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Veeco Gen930
Molecular Beam Epitaxy (MBE) system for III-V materials
NSW Node University of New South Wales
Description
III-V MBE system
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Seki CVD- small unit
MPCVD system for deposition of doped diamond
NSW Node University of New South Wales
Description
Small bell-jar type reactor for growing small samples of doped diamond films
Related Information
Doped films. Nitrogen, Boron, Germanium, Nickel etc.
Tool Contact
Toby.Shanley-1@uts.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Spray Coater- Sono-Tek
Spray Coater
NSW Node University of New South Wales
Description
Ultrasonic spray coating system
Related Information
Maximum 300 x 300 mm coating area
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Cambridge NanoTech Savannah S200
Atomic layer deposition (ALD) system with two precursor sources
NSW Node University of New South Wales
Description
ALD system, two precursor sources
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
PicoSun R-200 ALD
Small Chip to 200mm substrate size temp range 100 degrees 500 degrees. Setup for deposition Al2o3 and HfO2
NSW Node University of New South Wales
Description
Atomic Layer Deposition (ALD) system
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Etching
Custom Reactive Ion Etcher
Hollow-cathode RIE
NSW Node University of New South Wales
Technique
Reactive Ion Etching (RIE)
Description
hollow-cathode RIE, general purpose
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Oxford Instruments Plasmalab 100 – RIE setup
Reactive ion etcher (RIE)
NSW Node University of New South Wales
Technique
Reactive Ion Etching (RIE)
Description
RIE, 'clean' Si processes
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
STS ICP-DRIE
Inductively coupled plasma (ICP) reactive ion etcher
NSW Node University of New South Wales
Technique
Reactive Ion Etching (RIE)
Description
ICP-RIE
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Cl Etcher
Cl etcher
NSW Node University of Technology Sydney
Technique
Plasma etching
Description
This high-powered Cl Etcher tool is a top-of-the-line solution for precise material removal tasks, specifically in the semiconductor and integrated circuits industry. It's perfect for creating intricate patternThis high-powered Cl Etcher tool is a top-of-the-line solution for precise material removal tasks, specifically in the semiconductor and integrated circuits industry. It's perfect for creating intricate patterns on silicon wafers, offering up to 1000W of Inductively Coupled Plasma power. What sets this tool apart is its availability of chlorine. The system is specifically designed to be Chlorine compatible, providing a wide range of etching capabilities on various materials. With this tool, you can achieve highly detailed etching at an impressive rate, even on the most complex projects. One of the standout features is its built-in chiller stage. This cooling system ensures your work remains at a controlled temperature throughout the etching process. Not only does this safeguard your silicon wafer from potential heat damage, it also maintains the highest level of precision and consistency in your work. Whether you're new to the world of semiconductor fabrication or a seasoned professional, this Cl Etcher tool brings a new level of reliability and efficiency to your projects. It's designed with usability in mind, so even if you're not entirely sure what your needs are, this tool's versatility and user-friendly features make it a valuable asset for a wide range of tasks.
Related Information
1000w in ICP power, chiller stage
Tool Contact
Toby.Shanley-1@uts.edu.au
RIE Etching- South Bay RIE3000
O2 Plasma Asher/RIE
NSW Node University of Sydney
Technique
Plasma etching
Description
Reactive ion etch system dedicated to O2 and Ar plasma processes
Related Information
Used primarily for resist and sample cleaning.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Custom Reactive Ion Etcher
Hollow-cathode RIE
NSW Node University of Sydney
Description
hollow-cathode RIE, general purpose
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Oxford Instruments Plasmalab 100 – RIE setup
Reactive ion etcher (RIE)
NSW Node University of Sydney
Description
RIE, 'clean' Si processes
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
STS ICP-DRIE
Inductively coupled plasma (ICP) reactive ion etcher
NSW Node University of Sydney
Description
ICP-RIE
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Cl Etcher
Cl etcher
NSW Node University of Sydney
Description
This high-powered Cl Etcher tool is a top-of-the-line solution for precise material removal tasks, specifically in the semiconductor and integrated circuits industry. It's perfect for creating intricate patternThis high-powered Cl Etcher tool is a top-of-the-line solution for precise material removal tasks, specifically in the semiconductor and integrated circuits industry. It's perfect for creating intricate patterns on silicon wafers, offering up to 1000W of Inductively Coupled Plasma power. What sets this tool apart is its availability of chlorine. The system is specifically designed to be Chlorine compatible, providing a wide range of etching capabilities on various materials. With this tool, you can achieve highly detailed etching at an impressive rate, even on the most complex projects. One of the standout features is its built-in chiller stage. This cooling system ensures your work remains at a controlled temperature throughout the etching process. Not only does this safeguard your silicon wafer from potential heat damage, it also maintains the highest level of precision and consistency in your work. Whether you're new to the world of semiconductor fabrication or a seasoned professional, this Cl Etcher tool brings a new level of reliability and efficiency to your projects. It's designed with usability in mind, so even if you're not entirely sure what your needs are, this tool's versatility and user-friendly features make it a valuable asset for a wide range of tasks.
Related Information
1000w in ICP power, chiller stage
Tool Contact
Toby.Shanley-1@uts.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
RIE Etching- South Bay RIE3000
O2 Plasma Asher/RIE
NSW Node University of Sydney
Description
Reactive ion etch system dedicated to O2 and Ar plasma processes
Related Information
Used primarily for resist and sample cleaning.
Tool Contact
rpf.queries@sydney.edu.au
Laboratory Infrastructure
Reynolds Tech Hotplate Tower
Stacked Hotplate tower
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted stacked hotplates for baking during solvent based processes
Related Information
Includes 4 hotplates dedicated to different temperature ranges - used for baking/outgassing purposes
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench – Acid
Wet Bench for general purpose acid processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for handling of general purpose acids
Related Information
Includes integrated stirring hotplate, heated and filtered process tank and cascading tank for batch processing
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench – Caustic Develop
Wet Bench for caustic development processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for handling of caustic based chemicals - primarily TMAH based developers
Related Information
Includes automated spin process unit for development of wafers up to 7 inches squared.
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench – Chromium etch
Wet Bench for Chromium etch processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for handling of chromium etch chemicals
Related Information
Includes automated spin process unit for etching of wafers up to 7 inches squared.
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench – EBL Resist Coating
Wet Bench for electron beam lithography resist coating processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for resist coating processes dedicated to EBL resists
Related Information
Includes two spinners dedicated to PMMA and CSAR/ZEP processes
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench – General Purpose
Wet Bench for general purpose caustic etch processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for handling of general purpose caustic based chemicals
Related Information
Includes integrated stirring hotplate, heated and filtered process tank and cascading tank for batch processing
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench – Hydrofluric acid
Wet bench for Hydrofluoric acid processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for handling of hydrofluoric acid
Related Information
Includes heated process tank and cascading tank for rinsing.
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench – Photolithography Resist coating
Wet Bench for photolithography resist coating processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for resist coating processes dedicated to thicker photolithography based resists
Related Information
Includes three spinners dedicated to positive, negative and thick resist processes
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench -Lift-off process
Wet bench for solvent based lift-off processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for solvent based metal lift-off and cleaning processes
Related Information
Includes three heated ultrasonic tanks, an ambient filtered tank, and a cascading tank
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench -Solvent Develop
Wet bench for solvent based development processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for solvent based development processes
Related Information
Includes cold plate for cold development processes
Tool Contact
rpf.queries@sydney.edu.au
Reynolds Tech Wet Bench
Wet Bench for general purpose acid processes
NSW Node University of Sydney
Technique
Wetbench
Description
Exhausted wet bench used for handling of general purpose acids
Related Information
Includes two integrated stirring hotplates and a cascading tank for rinsing
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Hotplate Tower
Stacked Hotplate tower
NSW Node University of Sydney
Description
Exhausted stacked hotplates for baking during solvent based processes
Related Information
Includes 4 hotplates dedicated to different temperature ranges - used for baking/outgassing purposes
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench – Acid
Wet Bench for general purpose acid processes
NSW Node University of Sydney
Description
Exhausted wet bench used for handling of general purpose acids
Related Information
Includes integrated stirring hotplate, heated and filtered process tank and cascading tank for batch processing
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench – Caustic Develop
Wet Bench for caustic development processes
NSW Node University of Sydney
Description
Exhausted wet bench used for handling of caustic based chemicals - primarily TMAH based developers
Related Information
Includes automated spin process unit for development of wafers up to 7 inches squared.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench – Chromium etch
Wet Bench for Chromium etch processes
NSW Node University of Sydney
Description
Exhausted wet bench used for handling of chromium etch chemicals
Related Information
Includes automated spin process unit for etching of wafers up to 7 inches squared.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench – EBL Resist Coating
Wet Bench for electron beam lithography resist coating processes
NSW Node University of Sydney
Description
Exhausted wet bench used for resist coating processes dedicated to EBL resists
Related Information
Includes two spinners dedicated to PMMA and CSAR/ZEP processes
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench – General Purpose
Wet Bench for general purpose caustic etch processes
NSW Node University of Sydney
Description
Exhausted wet bench used for handling of general purpose caustic based chemicals
Related Information
Includes integrated stirring hotplate, heated and filtered process tank and cascading tank for batch processing
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench – Hydrofluric acid
Wet bench for Hydrofluoric acid processes
NSW Node University of Sydney
Description
Exhausted wet bench used for handling of hydrofluoric acid
Related Information
Includes heated process tank and cascading tank for rinsing.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench – Photolithography Resist coating
Wet Bench for photolithography resist coating processes
NSW Node University of Sydney
Description
Exhausted wet bench used for resist coating processes dedicated to thicker photolithography based resists
Related Information
Includes three spinners dedicated to positive, negative and thick resist processes
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench -Lift-off process
Wet bench for solvent based lift-off processes
NSW Node University of Sydney
Description
Exhausted wet bench used for solvent based metal lift-off and cleaning processes
Related Information
Includes three heated ultrasonic tanks, an ambient filtered tank, and a cascading tank
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench -Solvent Develop
Wet bench for solvent based development processes
NSW Node University of Sydney
Description
Exhausted wet bench used for solvent based development processes
Related Information
Includes cold plate for cold development processes
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Reynolds Tech Wet Bench
Wet Bench for general purpose acid processes
NSW Node University of Sydney
Description
Exhausted wet bench used for handling of general purpose acids
Related Information
Includes two integrated stirring hotplates and a cascading tank for rinsing
Tool Contact
rpf.queries@sydney.edu.au
Lithography
Coater and develoer system- Picotrack
Spin coater and developer
NSW Node University of Sydney
Technique
Spin coating and wafer development
Description
A fully automated system for spin coating, HMDS application, baking, and development. The system is well suited to batch scale production, providing high process performance and consistency in coating and development.
Related Information
The system can process 4 and 6 inch wafers, and is capable of running 25 wafers at a time. Auto dispense of resist (standard SPR660). MF26 developer.
Tool Contact
rpf.queries@sydney.edu.au
DMO ML3 Pro direct writer
More information to come.
NSW Node University of New South Wales
Technique
Maskless lithography
Description
Direct write maskless aligner
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
FIBSEM
More information to come.
NSW Node University of Technology Sydney
Technique
Focused Ion Beam (FIB) milling
Description
FIBSEM facility upgradeable to large FOV
Related Information
More information to come.
Tool Contact
Toby.Shanley-1@uts.edu.au
FEI Sirion
Electron beam lithography (EBL) system
NSW Node University of New South Wales
Technique
Electron Beam Lithography (EBL)
Description
EBL system, NPGS pattern generator, small write fields
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Raith 150 TWO
Electron beam lithography (EBL) system
NSW Node University of New South Wales
Technique
Electron Beam Lithography (EBL)
Description
The RAITH150 Two e-beam writer can help with the transition from single-device-oriented research towards small-batch fabrication of nanodevices.
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Direct Write Laser- Heidelberg 66+
Direct laser lithography system
NSW Node University of Sydney
Technique
Direct laser lithography
Description
Direct write laser lithography system capable of high resolution and grayscale patterning
Related Information
375 nm laser wavelength, multiple write modes. Capable of achieving sub 500 nm features with highest resolution, alignment, maximum write area 200 x 200 mm
Tool Contact
rpf.queries@sydney.edu.au
AlphaPlasma Q235 Asher
More information to come.
NSW Node University of New South Wales
Technique
Cleaning
Description
Microwave plasma asher
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Custom Asher
Plasma asher
NSW Node University of New South Wales
Technique
Cleaning
Description
O2 plasma asher
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Denton Asher
Plasma asher
NSW Node University of New South Wales
Technique
Cleaning
Description
O2 plasma asher
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
OEM Spin Rinse Dryer – Etch
Wafer Cleaning tool
NSW Node University of Sydney
Technique
Cleaning
Description
Automated batch wafer cleaning tool
Related Information
Can accommodate up to 6 inch round wafers
Tool Contact
rpf.queries@sydney.edu.au
OEM Spin Rinse Dryer
Wafer Cleaning tool
NSW Node University of Sydney
Technique
Cleaning
Description
Automated batch wafer cleaning tool
Related Information
Can accommodate up to 6 inch square wafers
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Coater and develoer system- Picotrack
Spin coater and developer
NSW Node University of Sydney
Description
A fully automated system for spin coating, HMDS application, baking, and development. The system is well suited to batch scale production, providing high process performance and consistency in coating and development.
Related Information
The system can process 4 and 6 inch wafers, and is capable of running 25 wafers at a time. Auto dispense of resist (standard SPR660). MF26 developer.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
DMO ML3 Pro direct writer
More information to come.
NSW Node University of Sydney
Description
Direct write maskless aligner
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
FIBSEM
More information to come.
NSW Node University of Sydney
Description
FIBSEM facility upgradeable to large FOV
Related Information
More information to come.
Tool Contact
Toby.Shanley-1@uts.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
FEI Sirion
Electron beam lithography (EBL) system
NSW Node University of Sydney
Description
EBL system, NPGS pattern generator, small write fields
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Raith 150 TWO
Electron beam lithography (EBL) system
NSW Node University of Sydney
Description
The RAITH150 Two e-beam writer can help with the transition from single-device-oriented research towards small-batch fabrication of nanodevices.
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Direct Write Laser- Heidelberg 66+
Direct laser lithography system
NSW Node University of Sydney
Description
Direct write laser lithography system capable of high resolution and grayscale patterning
Related Information
375 nm laser wavelength, multiple write modes. Capable of achieving sub 500 nm features with highest resolution, alignment, maximum write area 200 x 200 mm
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
AlphaPlasma Q235 Asher
More information to come.
NSW Node University of Sydney
Description
Microwave plasma asher
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Custom Asher
Plasma asher
NSW Node University of Sydney
Description
O2 plasma asher
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Denton Asher
Plasma asher
NSW Node University of Sydney
Description
O2 plasma asher
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
OEM Spin Rinse Dryer – Etch
Wafer Cleaning tool
NSW Node University of Sydney
Description
Automated batch wafer cleaning tool
Related Information
Can accommodate up to 6 inch round wafers
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
OEM Spin Rinse Dryer
Wafer Cleaning tool
NSW Node University of Sydney
Description
Automated batch wafer cleaning tool
Related Information
Can accommodate up to 6 inch square wafers
Tool Contact
rpf.queries@sydney.edu.au
Manufacturing and machining
FBG Fabrication System #1
Advanced Fibre Bragg Grating fabrication system
NSW Node University of Sydney
Technique
Advanced Fibre Bragg Grating
Description
FBG Fabrication System #1
Related Information
Full system built around a frequency doubled argon ion laser and advanced interferometer
Tool Contact
rpf.queries@sydney.edu.au
FBG Multicore multicore system
Advanced Fibre Bragg Grating fabrication system for multicore fibres
NSW Node University of Sydney
Technique
Advanced Fibre Bragg Grating
Description
System comprised primarily of: Azurlight/Wavetrain2 - Narrow Linewidth 244nmLaser, Fujikura FSM-100P+ Multicore Fibre Splicer, Elliot Scientific - Nanopositioner Fibre Rotationstages, FBG lasers Moku:Pro Liquid Instruments – Arbitrary Wavefront Signal Generator
Related Information
More information to come.
Tool Contact
rpf.queries@sydney.edu.au
Fibre draw tower- Heathway Draw tower
Polymer draw tower
NSW Node University of Sydney
Technique
Draw towers
Description
Drawing specialty polymer, softglass and composite fibres.
Related Information
Drawing specialty polymer, softglass and composite fibres.
Tool Contact
rpf.queries@sydney.edu.au
Fibre Drawer Tower Laser monitor
Allows control of fibre diameter whilst drawing
NSW Node University of Sydney
Technique
Draw towers
Description
Laser diameter monitor for fibre draw tower
Related Information
More information to come.
Tool Contact
rpf.queries@sydney.edu.au
Lagan CNC Milling Machine
CNC milling machine
NSW Node University of Sydney
Technique
Computer Numerical Control (CNC) milling
Description
Machining Polymer preforms
Related Information
Machining Polymer preforms
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
FBG Fabrication System #1
Advanced Fibre Bragg Grating fabrication system
NSW Node University of Sydney
Description
FBG Fabrication System #1
Related Information
Full system built around a frequency doubled argon ion laser and advanced interferometer
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
FBG Multicore multicore system
Advanced Fibre Bragg Grating fabrication system for multicore fibres
NSW Node University of Sydney
Description
System comprised primarily of: Azurlight/Wavetrain2 - Narrow Linewidth 244nmLaser, Fujikura FSM-100P+ Multicore Fibre Splicer, Elliot Scientific - Nanopositioner Fibre Rotationstages, FBG lasers Moku:Pro Liquid Instruments – Arbitrary Wavefront Signal Generator
Related Information
More information to come.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Fibre draw tower- Heathway Draw tower
Polymer draw tower
NSW Node University of Sydney
Description
Drawing specialty polymer, softglass and composite fibres.
Related Information
Drawing specialty polymer, softglass and composite fibres.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Fibre Drawer Tower Laser monitor
Allows control of fibre diameter whilst drawing
NSW Node University of Sydney
Description
Laser diameter monitor for fibre draw tower
Related Information
More information to come.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Lagan CNC Milling Machine
CNC milling machine
NSW Node University of Sydney
Description
Machining Polymer preforms
Related Information
Machining Polymer preforms
Tool Contact
rpf.queries@sydney.edu.au
Materials Synthesis and Modification
IBS IMC-200
Ion implanter
NSW Node University of New South Wales
Technique
Ion implantation, doping and diffusion
Description
ion implanter
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Thermco boron diffusion
Furnace for diffusion of boron
NSW Node University of New South Wales
Technique
Ion implantation, doping and diffusion
Description
boron diffusion furnace
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Thermco phosphorus diffusion
Furnace for diffusion of phosphorus
NSW Node University of New South Wales
Technique
Ion implantation, doping and diffusion
Description
phosphorus diffusion furnace
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Thermco oxidation furnace
Oxidation furnace for Si only
NSW Node University of New South Wales
Technique
Furnaces
Description
clean Si oxidation furnace
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Thermco UDOX
Ultra dry oxidation (UDOX) furnace for Si only
NSW Node University of New South Wales
Technique
Furnaces
Description
UDOX ultra-clean Si oxidation furnace (MOS)
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Thermal Annealer- Jipelec Jetfirst
Rapid thermal annealer
NSW Node University of New South Wales
Technique
Annealing
Description
Rapid thermal annealer
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Thermco clean silicon annealing
Furnace
NSW Node University of New South Wales
Technique
Annealing
Description
clean anneal furnace (MOS)
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Thermco GP annealing
General purpose furnace
NSW Node University of New South Wales
Technique
Annealing
Description
general purpose anneal furnace
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
IBS IMC-200
Ion implanter
NSW Node University of New South Wales
Description
ion implanter
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Thermco boron diffusion
Furnace for diffusion of boron
NSW Node University of New South Wales
Description
boron diffusion furnace
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Thermco phosphorus diffusion
Furnace for diffusion of phosphorus
NSW Node University of New South Wales
Description
phosphorus diffusion furnace
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Thermco oxidation furnace
Oxidation furnace for Si only
NSW Node University of New South Wales
Description
clean Si oxidation furnace
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Thermco UDOX
Ultra dry oxidation (UDOX) furnace for Si only
NSW Node University of New South Wales
Description
UDOX ultra-clean Si oxidation furnace (MOS)
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Thermal Annealer- Jipelec Jetfirst
Rapid thermal annealer
NSW Node University of New South Wales
Description
Rapid thermal annealer
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Thermco clean silicon annealing
Furnace
NSW Node University of New South Wales
Description
clean anneal furnace (MOS)
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Thermco GP annealing
General purpose furnace
NSW Node University of New South Wales
Description
general purpose anneal furnace
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Packaging
Kulicke & Soffa 4523
Wedge bonder
NSW Node University of New South Wales
Technique
Wire bonding
Description
Al wedge bonder
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Flip chip bonding- Finetech FINEPLACER lambda
Sub-Micron Die Bonder
NSW Node University of Sydney
Technique
Wafer bonding
Description
Sub-micron die-bonder for precision die attach and advanced chip packaging.
Related Information
Ultrasonic or thermosonic bonding, formic acid, adhesive dispense module.
Tool Contact
rpf.queries@sydney.edu.au
Suss scriber
Wafer scriber
NSW Node University of New South Wales
Technique
Wafer dicing
Description
wafer scriber
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Kulicke & Soffa 4523
Wedge bonder
NSW Node University of New South Wales
Description
Al wedge bonder
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Flip chip bonding- Finetech FINEPLACER lambda
Sub-Micron Die Bonder
NSW Node University of New South Wales
Description
Sub-micron die-bonder for precision die attach and advanced chip packaging.
Related Information
Ultrasonic or thermosonic bonding, formic acid, adhesive dispense module.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Suss scriber
Wafer scriber
NSW Node University of New South Wales
Description
wafer scriber
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Testing and validation
Parametric Analyser- Keithley
More information to come.
NSW Node University of Sydney
Technique
AC and DC Characterisation
Description
A Parametric Analyser is a device that measures the electrical characteristics of semiconductor devices and materials. It can perform various tests, such as current-voltage (I-V), capacitance-voltage (C-V), and impedance measurements, using a high-precision voltage source and a sensitive current meter. A Parametric Analyser can also generate frequency sweeps, bias ramps, and pulse waveforms to stimulate the device under test and capture its response.
Related Information
More information to come.
Tool Contact
rpf.queries@sydney.edu.au
DektakXT
More information to come.
NSW Node University of New South Wales
Technique
Stylus profilometry
Description
Stylus Profilometer
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Stylus profilometer- Bruker Dektak XT
Stylus profilometer
NSW Node University of Sydney
Technique
Stylus profilometry
Description
The Dektak XT profilometer is a stylus profiler capable of measuring step heights, film stress, and surface roughness. This tool has a 0.1 nm vertical resolution with a 6 inch automated stage.
Related Information
Sample size of up to 6 inch wafer. Single scan size of 55 mm. Vertical range of 1 mm
Tool Contact
rpf.queries@sydney.edu.au
Olympus DSX1000 Digital Microscope
More information to come.
NSW Node University of New South Wales
Technique
Optical microscopy
Description
Digital Microscope
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Optical Microscope- Nikon Instruments LV-100ND
Nikon LV-100ND with NIS Software and UV Source
NSW Node University of Sydney
Technique
Optical microscopy
Description
A manual microscope used for wafer inspection
Related Information
Can accommodate up to 6 inch round wafers
Tool Contact
rpf.queries@sydney.edu.au
Custom Probe Station
Four point probe
NSW Node University of New South Wales
Technique
Electrical characterisation
Description
four point probe
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Probe Station- Karl Suss PM5
Probe station
NSW Node University of Sydney
Technique
Electrical characterisation
Description
4 probe DC probe station for measuring electrical properties of materials and devices.
Related Information
Substrate size up to 6 inch wafer. Can heat substrate up to 120 degrees centigrade during measurement.
Tool Contact
rpf.queries@sydney.edu.au
Laser scanning microscope- Lasertec L2000
Confocal laser scanning microscope (LSM)
NSW Node University of Sydney
Technique
Confocal microscopy
Description
Lasertec Scanning Laser Microscope
Related Information
More information to come.
Tool Contact
rpf.queries@sydney.edu.au
Bruker Dimension Edge AFM
More information to come.
NSW Node University of New South Wales
Technique
Atomic Force Microscopy (AFM)
Description
Atomic Force Microscope
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Digital Instruments DI3000
Atomic force microscope (AFM)
NSW Node University of New South Wales
Technique
Atomic Force Microscopy (AFM)
Description
AFM
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Parametric Analyser- Keithley
More information to come.
NSW Node University of New South Wales
Description
A Parametric Analyser is a device that measures the electrical characteristics of semiconductor devices and materials. It can perform various tests, such as current-voltage (I-V), capacitance-voltage (C-V), and impedance measurements, using a high-precision voltage source and a sensitive current meter. A Parametric Analyser can also generate frequency sweeps, bias ramps, and pulse waveforms to stimulate the device under test and capture its response.
Related Information
More information to come.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
DektakXT
More information to come.
NSW Node University of New South Wales
Description
Stylus Profilometer
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Stylus profilometer- Bruker Dektak XT
Stylus profilometer
NSW Node University of New South Wales
Description
The Dektak XT profilometer is a stylus profiler capable of measuring step heights, film stress, and surface roughness. This tool has a 0.1 nm vertical resolution with a 6 inch automated stage.
Related Information
Sample size of up to 6 inch wafer. Single scan size of 55 mm. Vertical range of 1 mm
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Olympus DSX1000 Digital Microscope
More information to come.
NSW Node University of New South Wales
Description
Digital Microscope
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Optical Microscope- Nikon Instruments LV-100ND
Nikon LV-100ND with NIS Software and UV Source
NSW Node University of New South Wales
Description
A manual microscope used for wafer inspection
Related Information
Can accommodate up to 6 inch round wafers
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Custom Probe Station
Four point probe
NSW Node University of New South Wales
Description
four point probe
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Probe Station- Karl Suss PM5
Probe station
NSW Node University of New South Wales
Description
4 probe DC probe station for measuring electrical properties of materials and devices.
Related Information
Substrate size up to 6 inch wafer. Can heat substrate up to 120 degrees centigrade during measurement.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Laser scanning microscope- Lasertec L2000
Confocal laser scanning microscope (LSM)
NSW Node University of New South Wales
Description
Lasertec Scanning Laser Microscope
Related Information
More information to come.
Tool Contact
rpf.queries@sydney.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Bruker Dimension Edge AFM
More information to come.
NSW Node University of New South Wales
Description
Atomic Force Microscope
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
TOOL MAKE AND MODEL
KEY DIFFERENTIATOR
LOCATION
Digital Instruments DI3000
Atomic force microscope (AFM)
NSW Node University of New South Wales
Description
AFM
Related Information
More information to come.
Tool Contact
anff@unsw.edu.au
Experts
Mr Roger Ackroyd
Senior Process Engineer: Thin Film Deposition
Roger is a Senior Process Engineer: Thin Film Deposition at ANFF-NSW University of Sydney. He spent the last 4 years working as a nanofabrication process engineer for Microsoft Quantum. In this role, and prior to this at UNSW, he worked on several devices and materials systems, including superconductors, semiconductors, qubits, microwave resonators, Josephson junctions, and SQUIDs. His focus is on process development, process improvement, and scale-up.
Read More
NSW Node
Contact Mr Roger Ackroyd
Anna Adams
Executive Assistant to Director
Anna provides executive support to the ANFF-NSW Node Director and administrative support to the ANFF-NSW team. Anna ensures the efficient management of the ANFF-NSW office functions. Anna has extensive secretarial and office management experience gained from working in the banking and finance industries prior to joining ANFF in 2017.
Read More
University of New South Wales
Contact Anna Adams
Gordon Bates
Laboratory Technical Manager
Gordon leads the ANFF-NSW technical team who work to keep the ANFF-NSW laboratories and tooling up and running. He liaises closely with the Facility Manager, Process Engineering team, UNSW Facilities, commercial suppliers and other stakeholders to ensure the ANFF-NSW cleanrooms, tooling and supplies are always on hand to meet the demands of the ANFF-NSW research community. Coming from an industrial design background, Gordon built up extensive experience in cleanroom design and operation prior to taking on the role of Laboratory Manager of the Semiconductor Nanofabrication Facility (from which the ANFF-NSW laboratories developed) in 2006. He has been instrumental in the specification, design and setup of ANFF's newer laboratories and the upkeep and improvement of the pre-existing facility.
Read More
University of New South Wales
Contact Gordon Bates